jueves, 18 de marzo de 2010

Investigacion Parcial III. Anderson J. Mariño O. C.I.17456750 ees

Título: Transmisor óptico de alta frecuencia para aplicaciones industriales de sensores de fibra óptica.
Tema: Transmisiones ópticas y diseño de circuitos transmisores y receptores.
Objetivo: Diseño y puesta en práctica de un transmisor óptico de alta frecuencia capaz de transmitir un código pseudoaleatorio generado a una frecuencia de 100MHz en una tarjeta FPGA. Comprobación práctica de los resultados obtenidos a través de simulaciones y experimentos de laboratorio.
Campo de acción: Transmisores y receptores ópticos, tarjetas FPGA y sensores de fibra óptica.
Hipótesis: En caso de lograrse a través de un diseño simulado la puesta en funcionamiento de un transmisor óptico de alta frecuencia, se podrá utilizar el mismo como fuente emisora de luz para aplicaciones de sensores de fibra óptica que necesiten altas precisiones en sus mediciones.

Capítulo I: Revisión Bibliográfica

1.1. Breve Reseña histórica.
El uso de sistemas de comunicaciones es muy antiguo. Formas primitivas de fuentes ópticas, tales como el sol y el fuego, fueron usadas en la antigüedad para transmitir información en los primeros sistemas ópticos Claude Chappe, en 1792, transmitió mensajes codificados usando un semáforo óptico, que permitía la transmisión de señales a distancias visuales, sobre aproximadamente 320 km. Este es considerado el primer sistema de comunicación digital de alta velocidad, aunque para la terminología actual se considera un sistema lento, con una velocidad de transmisión de pulsos (B) menor de 1 pulso por segundo (B< 1 b/s).
El producto ancho de banda (B) y la distancia (L) (producto BL) es el principal factor de mérito de un sistema de comunicación. Maximizar este factor es uno de los principales objetivos de la ingeniería en telecomunicaciones.
En 1830, el telégrafo eléctrico, usando un esquema digital de transmisión, a través de dos pulsos eléctricos de duraciones diferentes, sustituyó el uso de la luz por la electricidad, y se dio inicio a la era de la comunicación eléctrica. La tasa de transmisión fue aumentada hasta 10 b/s, por el uso de nuevas técnicas de codificación, como el alfabeto Morse. El uso de estaciones repetidoras permitió comunicaciones sobre largas distancias (hasta 1000 Km.).
En 1866 entró en operación el primer cable trasatlántico. La invención del teléfono, en 1876, por Alexander G. Bell trajo un gran cambio en el formato de la señal eléctrica transmitida. El primer sistema de microondas comenzó a operar a 4 GHz en 1948. Tanto los sistemas de cables coaxiales como los sistemas de microondas evolucionaron bastante, llegando a operar a velocidades de transmisión de 100 Mb/s. El sistema coaxial más avanzado opera desde 1975 a una velocidad de transmisión de 274 Mb/s. Una severa limitación de los sistemas con cables coaxiales es la distancia entre repetidores, 1km, lo que lo hace muy caro. Aunque los sistemas por microondas tengan mayores espaciamientos que los de cable coaxial, ellos están limitados en su velocidad de transmisión por la frecuencia de la portadora de tales ondas. Los sistemas de comunicaciones con el producto BL de 100 (Mb/s)Km, estuvieron disponibles los años 70.
1.2. Sistemas Ópticos de Comunicación.
Después de la segunda guerra mundial, se comenzó a estudiar la posibilidad de usar portadoras ópticas para la transmisión de señales, lo que permitiría aumentar significativamente el producto BL. En los años 60 surgieron el láser de gas y la fibra óptica (con una atenuación de más de 1000 dB/Km.). En los años 70, las fibras ópticas presentaban pérdidas de 20 dB/Km. y los diodos láseres de semiconductores de arsenato de galio (GaAs) funcionaban a la temperatura ambiente. A partir de ahí, los sistemas de comunicaciones por fibra óptica comenzaron a popularizarse. Desde 1974 hasta hoy, fueron desarrolladas 5 generaciones sistemáticas.
1. Sistemas de primera generación ג=0.85 μm.
2. Sistemas de segunda generación ג=1.3 μm.
3. Sistemas de tercera generación ג=1.55 μm.
4. Sistemas de cuarta generación sistemas WDM.
La primera generación de los sistemas de comunicación por fibra óptica usaban láseres y LEDs de AlGaAs, como fuentes ópticas en la región de 850 nm, fibras multimodo de índice gradual y fotodiodos del tipo PIN y APD de silicio Si. Los valores típicos de atenuación para esta región de longitud de onda está en torno a 2,5dB/Km y el producto velocidad de transmisión vs distancia, producto BL para tales sistemas es limitada en hasta 500 Mb/sKm.
La segunda generación surgió en el inicio de los años 80. De entrada se usó láseres y fotodetectores de fosfato de indio (InP), con emisión en la región de 1300 nm, en la cual la fibra óptica posee mínima dispersión y baja atenuación. La distancia entre repetidores fue de 20 km.
Esos sistemas empleaban fibras multimodo con atenuación en el orden de 1 dB/Km, la cual limitaba la tasa de bits en torno a los 100 Mb/s. Esta limitación fue superada por el uso de la fibra óptica monomodo. Resultados experimentales, mostraron, ya en 1981, una transmisión de 2 Gb/s sobre 44 Km. usando fibra monomodo. En 1987 los sistemas comerciales de la segunda generación usando fibra monomodo, operaban en 1300 nm a una velocidad de 1.7Gb/s sobre una distancia de 50 km. El espaciamiento entre los repetidores de los sistemas de la segunda generación era, limitado por las pérdidas de la fibra (1dB/Km.), en 1300 nm de longitud de onda.
En 1979 se obtuvo atenuaciones de 0,2 dB/Km, en fibras ópticas de sílice, en la región de 1550 nm de longitud de onda, pero la dispersión en esta región es muy grande, la cual imposibilitó el uso de láseres multimodo. Este problema fue resuelto a través del proyecto de fibras con dispersión mínima en 1550 nm y limitando la anchura espectral de los láseres por el uso de láseres monomodo. En 1985, resultados experimentales mostraron la posibilidad de transmitir información a 4 Gb/s sobre distancias superiores a 100 Km, sin embargo sólo en 1990, fue posible obtener sistemas comerciales de la tercera generación.
La cuarta generación de sistemas de comunicación por fibra óptica está relacionada con el aumento de la capacidad de transmitir información a través del uso de la multicanalización del ancho de banda de una fibra óptica, usando la técnica de multiplexación por división de longitud de onda (WDM) y multiplexación por división de longitud de onda densa (DWDM). En estos sistemas es esencial el uso de amplificadores ópticos. Se puede optar por detección coherente o detección directa.
La quinta generación de sistemas de comunicación por fibras ópticas se halla en estado de investigación y desarrollo. Está basada en la propagación de solitons, pulsos ópticos que preservan su forma cuando se propagan en una fibra sin pérdidas, neutralizando el efecto de la dispersión a través de la no linealidad de la fibra. Aunque las ideas básicas sobre propagación solitónica había sido propuesta en 1973, fue sólo en el año 1988 que experiencias de laboratorio mostraron la viabilidad de transmisión de información en 4.000 Km, compensando las pérdidas de la fibra a través de la dispersión estimulada de Raman. Sistemas de transmisión experimentales han sido registrados hasta 1.000 Km. de fibra a una velocidad de 10Gb/s y hasta 350 Km, a una velocidad de 20 Gb/s.
Sabemos que la energía de la luz viajará entre dos puntos en el espacio. Usamos este hecho como base para la idea de comunicar dos puntos con este haz de luz.
Un sistema básico de transmisión óptico consiste de tres elementos básicos: un transmisor óptico, un cable de fibra óptica o un canal óptico, y un receptor óptico.
Elemento Función
Transmisor óptico Convierte señales eléctricas en luz modulada para su transmisión a través de una fibra óptica.
Canal óptico (cable de fibra óptica) Consiste en una o más fibras ópticas que sirven de guía de onda que canalizan a las señales ópticas.
Receptor óptico Convierte la señal óptica en una copia exacta de la señal eléctrica original.
Tabla 1 Elementos de un sistema de comunicación óptica.
En general, el transmisor óptico de un sistema de comunicación por fibra óptica es compuesto por un modulador y una fuente de luz asociada. Una fuente de información genera la señal que se desea transmitir y la envía para ser adaptada para la transmisión en el modulador. El origen de la información, llamado generador de señales, define el tipo de información a ser transmitida. Para el caso de una señal digital, la señal es representada por un conjunto de valores, que en general, en comunicación óptica, es binarios. En el caso de una señal analógica, generalmente ella es representada por una combinación de sinusoides, con varias frecuencias, amplitudes y fases. La figura 1.2 presenta una representación típica de señales digitales y señales analógicas.
En realidad, la información a ser transmitida, es imprevisible, debiendo ser caracterizada por valores aleatorios, pero es común utilizar valores determinísticos, para la evaluación del desempeño del sistema de comunicación. En el caso que se quiera transmitir varias señales simultaneas, analógicas o/y digitales, el transmisor se encarga de hacer una multiplexación eléctrica u óptica, de todas las fuentes de información que se quiera transmitir.
1.3. Fibra Óptica.
La Fibra Óptica consiste en una guía de luz, donde la señal no se atenúa tanto como el cobre, ya que en las fibras no se pierde información; además, se pueden emitir a la vez por ella varias señales con distintas frecuencias. Lo cierto es que en poco más de una década, la fibra óptica se ha convertido en una de las tecnologías más avanzadas para transmitir información. Este novedoso material ha revolucionado los procesos de las telecomunicaciones. Su aceptación se debe también a su confiabilidad pues son inmunes a las interferencias electromagnéticas que afectan a las ondas de radio; además de poseer gran ancho de banda, lo que incrementa la capacidad de transmisión y reduce el costo por canal.
Cabe señalar que un cable de 6 fibras puede transportar la señal de más de 5 000 canales o líneas principales. Sin embargo, este servicio a ese mismo número de usuarios en un sistema convencional de cables de cobre, requiere de 10,000 pares de cables.
1.3.1. Componentes de la Fibra Óptica
Toda fibra óptica consta de tres capas concéntricas:
  • Núcleo.
  • Recubrimiento.
  • Revestimiento.
La transmisión óptica involucra la modulación de una señal de luz usualmente apagando, encendiendo y variando la intensidad de la luz sobre una fibra muy estrecha de vidrio llamado núcleo: el diámetro de una fibra puede llegar a ser de una décima del diámetro de un cabello humano.
La otra capa concéntrica de vidrio que rodea el núcleo se llama revestimiento. Después de introducir la luz dentro del núcleo, esta es reflejada por el revestimiento, lo cual ocasiona que siga una trayectoria en zig-zag a través del núcleo.
Las dos formas de transmitir sobre una fibra son conocidas como transmisión en modo simple y multimodo. En el modo simple (también llamado monomodo), se transmite un haz de luz por cada fibra y, dadas sus características de transmisión, es posible que el haz se propague a decenas de kilómetros. Por ello, este tipo de fibra es muy común en enlaces de larga distancia, como la interconexión de centrales telefónicas.
En una fibra multimodo, en cambio, más de un haz de luz puede ser transmitido. Esta versión se usa para distancias más cortas y sirve para interconectar LANs entre edificios, campos, etc.
1.3.2. Principio de Funcionamiento de la Fibra Óptica.
El principio de funcionamiento de la fibra óptica consiste en hacer incidir un haz de luz en el núcleo en cierto ángulo, para que la luz "rebote" entre el revestimiento y el núcleo, efecto conocido como Reflexión Interna Total. Dado que el recubrimiento no absorbe la luz existente en el núcleo, el haz puede transportarse a grandes distancias.
La cantidad de luz que se puede inducir en el núcleo, es directamente proporcional a la eficiencia de la fibra óptica, es decir: a mayor cantidad de luz, menor índice de pérdida de información, ya que llega más luz al destinatario. Con base en lo anterior, los principales factores que pueden afectar la eficiencia de la fibra óptica son el tamaño, la composición y el modo de propagación de la luz.
1.3.3. Atenuación de la Fibra Óptica.
La atenuación, o pérdida de la señal, deriva de dos causas:
  • El índice de absorción de luz que tenga el material que compone la fibra óptica.
  • La pureza de este.
La absorción reduce la energía en la señal, por lo que puede no llegar completa al destino; mientras que un material impuro desviará la luz de su camino entre el núcleo y el recubrimiento. Sin embargo, otro factor que impacta el rendimiento de la fibra es la longitud de onda del haz de luz, que se mide en nanómetros (nm), o mil millonésima de metro, siendo las longitudes de onda más comunes 780, 850, 1300, 1550 y 1625 nm.
1.3.4. Tipos de Fibra Óptica.
  • Fibra óptica de vidrio. Tiene el menor nivel de atenuación y tanto el núcleo como el recubrimiento implican una alta pureza óptica, por estar fabricados de dióxido de silicio o de cuarzo fundido. Para incrementar los índices de refracción, se mezcla con algunas impurezas de germanio, titanio o fósforo; tiene el costo más elevado.

  • Fibra óptica de plástico. Tiene la atenuación más alta de todos los tipos de fibra, por lo que se recomienda para redes de corta distancia. El núcleo está hecho de plometilmetacrilato (PMMA) recubierto de un fluoropolímero, además de que su proceso de fabricación es más barato.

  • Fibra óptica de plástico – silicio (PCS). Su atenuación se ubica entre la fibra óptica de vidrio y la de plástico. El núcleo es de vidrio y el recubrimiento de un polímero plástico con menor refracción. Por su estructura, es más difícil la instalación de conectores en este tipo de fibra, lo que la hace la menos popular de las tres.
1.3.5. Ventajas y desventajas.
La Fibra Óptica suma a sus ventajas, la facilidad de instalación; el acceso rápido, ilimitado y continuo sin congestiones a Internet; la compatibilidad con la tecnología digital y la abundancia en la naturaleza de la materia prima para su fabricación. Sin embargo, como todo lo que brilla puede tener sus manchas: el costo de instalación es elevado. De igual forma, las fibras son frágiles y no se pueden empatar cuando se rompen; a lo que se suma el alto precio de las interfaces de la conexión y otros elementos usados en las redes de fibras, como aquellos que sirven para instalar "la última milla" hasta el usuario final, esta última muchas veces a base de cables de cobre.
1.3.6. Aplicaciones de la Fibra Óptica.
A pesar de todo, cada vez es mayor el número de aplicaciones de la fibra óptica, una tecnología cuyos circuitos son filamentos de vidrio o plástico de pequeño espesor, pero capaces de llevar los mensajes en forma de haces de luz.
La aplicación más sencilla de la fibra óptica es la transmisión de luz a lugares que serían difíciles de iluminar de otro modo, como la cavidad perforada por la turbina de un dentista. También se emplea en las intervenciones quirúrgicas con rayo láser o endoscópicas.
Se emplea además en:
• Enlaces entre PC y Periféricos.
• Redes de Área Local.
• Enlaces de Video.
• Enlaces de Control Industrial.
• Sistemas ruidosos.
• Módems y Multiplexores.
• Enlaces de centrales PBX.
Si la conversión a dígitos de cualquier tipo de información a transmitir a distancia creó esta llamada "era digital", la fibra óptica ha venido a resultar el soporte ideal.
En esta investigación se cuenta con un kit de fibra HFBR-0400 que posee las siguientes características:
• Compatible con I.EEE 802.3 ETHERNET y 802.5 Token Ring.
• Utiliza transmisores y receptores de bajo costo.
• Utiliza los puertos ST, SMA, SC o FC.
• 820nm de longitud de onda.
• Admite una velocidad de trasmisión de datos de 175 Megabaudios.
• La distancia de enlace no debe exceder los 4 km.
• Posee un diseño único para un acople eficiente con el puerto óptico.
• Opera en un rango de temperatura desde (-10ºC hasta 85ºC).
1.4.Técnicas de Espectro Ensanchado (SS)
Siguiendo la definición dada por Pickholtz1, en una traducción libre:
"Espectro Ensanchado (SS) es un método de transmisión en el que la señal ocupa un ancho de banda superior al mínimo necesario para enviar la información; este ensanchamiento de la banda se consigue por medio de un código, que es independiente de los datos a transmitir; y una recepción sincronizada con dicho código permite la restauración de la banda a su ancho original y, por tanto, la recuperación del mensaje."
No es del todo fácil catalogar a priori las técnicas de espectro ensanchado: se podrían considerar como técnicas de tratamiento de la señal, o bien como técnicas de codificación, o incluso, como técnicas de modulación. En cualquier caso, el aspecto fundamental a retener del espectro ensanchado, antes de entrar en más detalles, es que en la medida en que el ancho de banda se expande, más protección se obtiene frente a las interferencias (intencionadas o no), efecto Doppler, ruido, multitrayecto, ¡es todo lo que un ingeniero en Telecomunicaciones podría desear!.
Con todo esto es bueno tener en cuenta que el espectro ensanchado no es la solución perfecta a las telecomunicaciones, no es la Piedra Filosofal que habíamos estado buscando todo este tiempo, ni mucho menos. Estas técnicas también tienen su particular "tendón de Aquiles", y bajo determinadas condiciones el espectro ensanchado puede llegar a perder sus habilidades, dejando de ofrecer protección alguna. Es por todo esto que, de vez en cuando, también se dejan oír voces
1 "Theory of spread-spectrum comunications. A tutorial."
Raymond L. Pickholtz. Donald L. Schilling. Laurence B. Milstein.
IEEE Trans. Commun., vol. COM-30, may 1982; pp. 855-884. Sección 1 1 6
1.5. ¿Qué es una FPGA?
Una FPGA (field programmable gate array), matriz de puertas programable por campo. Es un dispositivo semiconductor que contiene componentes lógicos programables e interconexiones programables entre ellos. La raíz histórica de los FPGA son los dispositivos de lógica programable compleja (CPLD) de mediados de los ochenta.
Internamente, una FPGA esta compuesta por un conjunto de bloques iguales (CLBs) dispuesto de forma regular. Cada bloque contiene pequeñas memorias RAM y flips-flops que se pueden configurar para realizar todo tipo de circuitos combinacionales y secuenciales de pequeña escala. Los bloques se pueden interconectar entre sí mediante conexiones también configurables. La configuración de la FPGA se realiza mediante una comunicación serie denominada bitstream, que puede estar almacenado en una memoria externa (PROM, EEPROM, RAM...) o provenir de otro sistema (PC, microcontrolador, otra FPGA...).
En esta tesis se hace uso de una FPGA en especifico la Spartan 3E a continuación se hace referencia a algunas de las características que la describen.
1.5.1. Características de la FPGA Spartan 3E.
  • Modelo Xilinx XC3S500E Spartan-3E FPGA.
  • Posee hasta 232 pines de entrada o salida.
  • 64 MByte (512 Mbit) de DDR SDRAM
  • Pantalla LCD con capacidad de 2 líneas para mostrar hasta 16 caracteres.
  • Puerto PS/2 para mause o teclado.
  • Puerto para un display VGA.
  • 2 Conectores RS/232 puerto serie.
  • Un oscilador local de 50 MHz.
  • Posee 4 interruptores de control.
  • Es programable por puerto USB.
  • Posee un botón variable que controla el voltaje o nivel a la salida de la FPGA.
  • Posee 8 leds.
1.5.2. Aplicación de una FPGA.
Cualquier circuito de aplicación específica puede ser implementado en un FPGA, siempre y cuando esta disponga de los recursos necesarios. Las aplicaciones donde más comúnmente se utilizan los FPGA incluyen a los DSP (procesamiento digital de señales), radio definido por software, sistemas aeroespaciales y de defensa, prototipos de ASICs, sistemas de imágenes para medicina, sistemas de visión para computadoras, reconocimiento de voz, bioinformática, emulación de hardware de computadora, entre otras. Cabe notar que su uso en otras áreas es cada vez mayor, sobre todo en aquellas aplicaciones que requieren un alto grado de paralelismo.
Esta tarjeta se puede emplear para múltiples aplicaciones. Sin embargo, resulta especialmente útil en los siguientes campos:
Docencia, en el campo de la electrónica y los lenguajes de descripción hardware (HDL). Los alumnos diseñan, simulan, sintetizan y finalmente descargan el diseño en la tarjeta entrenadora, pudiendo comprobar físicamente el correcto funcionamiento, tomando medidas y conectando circuitos externos. Por ser una placa libre y estar disponibles todos los esquemas y planos de fabricación, los alumnos también pueden ampliar su funcionalidad, diseñándose placas a su medida (realización de prácticas especiales, proyectos fin de carrera, trabajos de doctorado, etc). De la misma manera los profesores la pueden adaptar a las necesidades de una asignatura concreta.
Conexión con microcontroladores. Puesto que puede funcionar en modo autónomo, resulta muy útil para el desarrollo de periféricos para microcontroladores: controladores de sensores, coprocesadores para hacer ciertas operaciones más rápidamente, etc. Además es posible realizar la carga del bitstream desde el propio sistema microcontrolador, posibilitando el desarrollo de sistemas de hardware reconfigurables.
Robótica. Posibilidad de diseñar CPUs específicas para aplicaciones de robótica así como controladores para periféricos: unidades de PWM para mover servos, temporizadores, controladores para sensores de ultrasonidos, de distancia, etc.
En el caso de esta exploración se va hacer uso de la tarjeta para generar el código pseudoaleatorio que se necesita y a la frecuencia que se desea.
1.6. Sensores Ópticos.
Los sensores electrónicos fueron los primeros que permitieron implementar sistemas de medida y control para cualquier tipo de proceso, posibilitando la medición de una amplia gama de variables físicas.
Hace más de 20 años los sensores de fibra óptica iniciaban la era de las mediciones ópticas que por mucho son más exactas que las que permitían realizar los sensores electrónicos, no obstante tuvo que pasar mucho tiempo para que el ambiente electrónico diera cabida al nuevo miembro, quien además era alimentado exclusivamente por fuentes ópticas, las que también tuvieron que experimentar cambios en la concepción de su funcionamiento.
Estos sensores por su calidad se convirtieron en el líder de las aplicaciones de alto nivel, espaciales, marítimas, biomédicas etc., y si hoy no son más utilizadas es porque sus precios exceden a los de los sensores convencionales siendo este el principal problema para su utilización.
La robustez de este tipo de dispositivo radica principalmente en el tipo de señal que trabajan, señales ópticas, lo que los hace increíblemente aplicables a una gran gama de procesos industriales en las más críticas condiciones de interferencias electromagnética, temperaturas, presión, humedad y otras variables físicas que afectarían seriamente el funcionamiento de un sensor convencional. 2 2
Todas las características y ventajas que se reseñaron anteriormente, propiciaron que se pensara en los sensores de fibra óptica para caracterizar la vibración, rugosidad y desgaste en cuerpos no transparentes que se movieran en una zona finita del espacio.

Capítulo II: Descripción teórica del proceso de diseño del Transmisor Óptico.

Antes de comenzar a desarrollar este capítulo es bueno recordar que el objetivo de este trabajo es la creación de un transmisor óptico de alta frecuencia que se utilizará como emisor de luz de un sensor de vibraciones, por lo que la exactitud dependerá entre otros factores de la frecuencia con la que se genere el código y el tiempo del chip. Por supuesto, para que a la salida del Transmisor se pueda procesar la señal, es importante asegurar que las condiciones adversas del medio, como el ruido las pérdidas por dispersión de la luz, los inconvenientes por multitrayecto, la respuesta impulsiva del canal etc, serán resueltas de alguna manera, lo que supone que la modulación de la señal tiene que tener un protagonismo superior en este tipo de experimento. Para ellos se recurrió la utilización del espectro ensanchado, por la facilidad que brinda en el manejo de las señales y por la robustez que presenta ante interferencias deseadas y no deseadas. La principal herramienta que puede utilizar, dentro de las técnicas de espectro ensanchado para la medición que se pretende hacer una vez diseñado el Transmisor, es la correlación, y más específicamente la correlación diferencial, que según Yañes2, es la suma del número de coincidencias menos el número de divergencias, o sencillamente la suma de la multiplicación real, valor a valor de dos vectores. Por ejemplo:
Dejemos que v(t) sea una poderosa señal que no necesariamente tiene que ser real o periódica. Nuestra única estipulación es que él siempre va a tener bien definido el poder de average.
2 "Contribución al estudio de las transmisiones por por radio en espectro ensanchado."
Yañes Castillón, Pedro. J
La operación de tiempo de average aquí se interpreta en la forma general:
Donde Z(t) es una función arbitraria de tiempo. Para propósitos de referencia notemos que estas operaciones siguen las siguientes propiedades:
Ahora definiremos el correlador cruzado con dos poderosas señales como:
Este es un producto escalar con la segunda señal retardada por τ relacionada con la primera o, equivalentemente, la primera señal aumentada por τ relacionada con la segunda. Este relativo desplazamiento de τ es la variable independiente en la ecuaciσn 2.4. La variable τ ha tenido que limpiarse fuera del tiempo de average. Las propiedades generales de Rvw(τ) son:
La ecuación 2.5a simplemente expone la desigualdad de Schwarz, mientras que la ecuación 2.5b señala que Rwv(τ)≠Rvw(τ)
Se concluye entonces luego de previas observaciones que Rvw(τ) da la medida de la similitud entre v(t) y w(t- τ) como una función de τ.
La distancia que se pretende medir va desde 0 a 1.50 m que corresponde con la distancia de recorrido de la luz en el vacío en medio tiempo de chip, por lo que si tenemos una frecuencia de 100 MHz y el tiempo del Chip es 1/F entonces para nuestro experimento Tc= 10ns.
Se tendrá en cuenta a la hora de realizar el experimento futuro ciertos parámetros que consideraremos serán determinantes para lograr una medición de mayor precisión y que justifican la construcción del transmisor óptico de alta frecuencia. A continuación se muestran en la tabla 2.1
Si analizamos que nuestro conversor estará incluido en la tarjeta FPGA y es de 14 bits implica que habrá 214= 16384 divisiones de Tc, lo que significa una precisión máxima de 1,50m / 214= 91.6 micras.
Desde el punto de vista industrial este valor de precisión está muy acorde con las aplicaciones de alto nivel, incluso para investigaciones militares donde la exactitud de las vibraciones tiene connotaciones más serias.
Teniendo ya justificada la necesidad de trabajar con la frecuencia antes mencionada por su vinculación con los parámetros de la medición, es necesario visualizar de qué forma se logra generar una señal a esta frecuencia dentro de la tarjeta FPGA (Spartan 3E). Para ello veamos una descripción exacta del DCM y las acciones que se realizaron para lograr generar dicha señal a la frecuencia deseada.
2.1. DCM Diseño.
Como se hace mención anteriormente la tarjeta con que se cuenta posee un oscilador local que trabaja a una frecuencia de 50 Mhz, y como se necesita generar un código pseudoaleatorio a una frecuencia de 100 Mhz para lograr ello vamos a trabajar con una herramienta que nos permite modificar dicho reloj.
Características del DCM (Digital Clock Managers): Dicha herramienta tiene la capacidad de hacer posible la implementación de muchas aplicaciones en la tarjeta FPGA Spartan 3E y demás versiones de la Spartan 3L; dicha herramienta es capaz de modificar una entrada de reloj que se encuentre en el rango desde un (1 – 280)MHz para sintetizar así una nueva frecuencia a la salida producto de la mezcla de operaciones matemáticas como son la división y la multiplicación (se puede dividir desde D = 1…32, y multiplicar desde M = 2…32 ); proporciona una señal con un ciclo útil de un 50 %, es posible modificar la fase a la salida , es capaz de eliminar los retrasos y posibles cambios que puedan surgir dentro de la tarjeta o bien en los agentes externos para así obtener un mejor funcionamiento del sistema global.
2.1.1 ¿Qué es un diagrama de bloque del DCM ?
Este diagrama esta compuesto por varios elementos en su interior, entre ellos se encuentran:
Delay-Locked Loop (DLL): Es capaz de eliminar efectivamente los retrasos del reloj externo cargados a la tarjeta, las señales de entrada de este bloque son CLKIN, CLKFB; y las de salida CLK0, CLK90, CLK180, CLK270, CLK2X, CLK2X180, y CLKDV; este bloque se utiliza cuando se va duplicar la frecuencia en este caso se activan los pines CLK2X, CLK2X180, cuando se va a hacer uso la operación división CLKDV, y cuando se va a trabajar con cuadratura de fase que se activan los pines CLK0, CLK90, CLK180, CLK270, de ellos se escoge el que se necesita.
Digital Frequency Synthesizer (DFS): Sintetizador de Frecuencia Digital (DFS) es capaz de proporcionar un valor de frecuencia a la salida determinada por una entrada CLKIN usando un multiplicador (CLKFX_MULTIPLY) y un divisor (CLKFX_DIVIDE). Si el DLL no se usa no hay ninguna relación de fase entre la entrada CLKIN y las salidas del DFS (CLKFX, CLKFX180).
Phase Shift (PS)
El bloque (PS) o unidad de cambio de fase controla los cambios de fase que pueden existir entre la señal entrada CLKIN y la salida del DCM.
Las señales de entrada de la unidad PS son PSINCDEC, PSEN y PSCLK, y las de salida son PSDONE y STATUS [0].
Status Logic: indica el estado actual del DCM por los pines de salida LOCKED, STATUS [0], STATUS [1], y STATUS [2]. El pin LOCKED indica cuando la señal de salida del DCM esta en fase con la señal de entrada CLKIN. El pin STATUS indica el estado de las operaciones dadas por el DLL y el PS.
El pin RST es una señal de entrada que cuando esta activada resetea el DCM a su estado o configuración predeterminada.
Símbolo del esquema del DCM
Figura 2.2 Bloque general del DCM.
Existen varias formas de llevar a cabo la implementación de un diseño específico del DCM después de un estudio minucioso se llego a la conclusión de que la vía más factible es la siguiente:
2.1.2. Implementación del DCM.
Primer paso: llevar a cabo la siguiente ruta de acceso hacia el programa que ejecuta el icono Achitecture Wizard.
Después de ejecutado el programa es necesario definir los siguientes elementos:
Segundo paso: se precisa cual es la opción a utilizar, en el caso de esta investigación solo se necesita un reloj por lo tanto se selecciona la última.
Cuando se hace selección de dicha opción rápidamente se necesita definir los siguientes parámetros que se muestran en la figura siguiente, que en el caso de esta investigación se seleccionaron los pines mostrados (RST, CLK0, CLK2X, CLK2X180, LOCKED), una frecuencia de entrada de 50 Mhz, no hay variación en la fase de salida, la fuente de entrada es interna pero se marca como externa pues el programa considera como una fuente externa a su propia fuente, la realimentación o FEEDBACK, se marca 1x pues no va a ver cambio de fase en ella ni duplicación, las definiciones de dichos parámetros se muestra en la figura 2.5.
A continuación se muestra el siguiente paso que se define el tipo de buffer a utilizar, en este programa se utilizan buffer de tipo global.
Figura 2.6 Ventana donde se define el tipo de Buffer a utilizar.
Cuando se ha definido toda esta serie de pasos a tener en cuenta se muestra una ventana donde se comunica al programador los elementos que han sido modificados.
Luego de haber definido todos estos elementos queda generado el código en un archivo .vhdl guardado en el sistema dentro de la carpeta matriz, que se defino anteriormente en el primer paso.
Luego de haber generado el código que es capaz de multiplicar la frecuencia de la tarjeta por 2, pasamos entonces a la creación de un código pseudoaleatorio cuya importancia se abordará en el capítulo III, mostrando su relación con la autocorrelación de señales en aplicaciones de máxima exactitud en sensores de fibra óptica.
2.2. ¿Como generar un código pseudoaleatorio?
Existen dos tipos de secuencias pseudoaleatorias utilizadas más comúnmente con la técnica de secuencia directa (DS). Estos son los que llamados códigos lineales de longitud máxima o códigos MLS y códigos de Gold. Ambos tipos de códigos surgen a partir de registros digitales de desplazamiento realimentados.
En este caso se trabajará con los llamados códigos MLS, por lo que se va a empezar con el análisis de las estructuras generadoras de secuencias digitales formadas por estos registros (SRG).
En la figura 2.8 aparece esquematizado un generador a base de un registro de desplazamiento de n bits o n etapas. La realimentación de este registro es una función del contenido de las n etapas del registro. Este, como se ha planteado, es digital, de modo que las diferentes etapas son flip - flop´s o biestables que pueden tomar dos valores distintos. Para simplificar la expresión de la generación de los códigos se va a suponer que los dos valores posibles son (0, 1) en lugar de (+ 1, - 1). Esto no plantea ningún inconveniente ya que se puede establecer un isomorfismo entre el grupo conmutativo formado por el conjunto (+ 1, -1) y la multiplicación (que es la operación que se realiza para ensanchar el espectro) y el grupo conmutativo formado por el conjunto (0, 1) y la operación OR exclusiva o suma.
El funcionamiento de un generador de registro de desplazamiento como el de la figura es muy sencillo: en un momento dado, el contenido del registro es una determinada combinación de unos y ceros. Este contenido se va a determinar a través de la función f(x1,x2,….xn) , cuál será el contenido de la primera etapa en el siguiente instante (realimentación). En un instante dado, el contenido de cada etapa será el contenido de la etapa anterior en el instante anterior (por eso se llama de desplazamiento), excepto el de la primera etapa, que será el resultado de la función de realimentación. En cada momento, la salida del registro es el valor almacenado en la n-ésima etapa (1 ó 0), que es el valor proporcionado por el generador en ése instante.
Los generadores pueden ser simples, múltiples o lineales. Se llama simple a aquel generador donde el contenido de cada etapa pasa directamente a la etapa siguiente, en caso contrario el generador recibe el nombre de múltiple. Un ejemplo de generador múltiple aparece en la figura 2.9. Por otra parte es lineal cuando la función de realimentación se puede expresar como una combinación de los contenidos de las n etapas, esto es:
Donde los coeficientes c toman los valores (1, 0), según que la etapa i-ésima intervenga o no en la función de realimentación, respectivamente. Gráficamente, la estructura de un generador lineal será como la del que aparece en la figura 2.10 en donde la realimentación no es más que la suma de los contenidos de algunas de las etapas del registro.
2.2.1. Códigos lineales de longitud máxima.
Es importante tener en cuenta que no todas las combinaciones posibles de las etapas de un registro conducen a un código MLS, por lo que conviene encontrar cuáles son las estructuras que si dan lugar a códigos de longitud máxima. Por otro lado es conveniente trabajar siempre con el estado inicial uno seguido de todo cero (1 0 0 ... 0), aunque por tratarse de secuencias de longitud máxima, bastaría con evitar el estado inicial todo ceros (0 0 0 .. 0 0).
Vamos a definir el concepto de polinomio característico de un generador lineal simple de la siguiente manera:
donde los coeficientes Ck toman los valores 0 ó 1, según que la etapa k-ésima del registro intervenga o no en la realimentación del generador. El coeficiente Cn siempre es igual a 1 (porque sino el generador no sería de n etapas), y entonces se dirá que el polinomio es de grado n. A su vez, el coeficiente C0 (término independiente del polinomio) también es igual a 1 siempre. Así, por ejemplo el polinomio característico de un generador donde la longitud del registro (n) es 11 y la longitud de las secuencia ( 2n-1) sería 2047, como en el caso que se valora, será:
El polinomio característico resulta ser una herramienta muy útil para el estudio de los generadores lineales simples y sus propiedades.
Estos pueden ser irreducibles o primitivos. Se le llama polinomio irreducible a todo aquel que no se pueda factorizar, es decir, que no se pueda dividir por ningún polinomio de menor grado que el de él. Un polinomio irreducible de grado n se llama primitivo si y sólo si, divide a (xm-1) para m ≥ 2n-1.
Pues bien, la condición necesaria y suficiente para que una secuencia sea de longitud máxima, MLS, es que su polinomio característico sea primitivo.
Después de haber analizado todo lo anterior, finalmente encontramos lo que buscábamos: un procedimiento para reconocer que estructuras van a proporcionar códigos MLS y cuáles no. Sin embargo la obtención de polinomios primitivos es un procedimiento, aunque fácil y mecánico, muy tedioso, mas cuanto mas elevado sea el grado del generador. Afortunadamente, la gran potencialidad de la computación automática puede socorrer en este sentido.
Hemos extraído una lista de algunas configuraciones que conducen a códigos MLS, que aparecen en la tabla 2.1. La primera columna de la tabla hace referencia al número de etapas que componen el registro de desplazamiento; la segunda columna es la longitud de los códigos MLS correspondientes. Por último, la tercera columna indica la configuración que debe adoptar el generador para que dé lugar a un código MLS, es decir, cuántas y cuáles deben ser las etapas del registro que deben combinarse.
Surge también la necesidad de implementar determinados circuitos eléctricos con el fin de implementar el transmisor óptico.
2.3. Circuito Tx.
Para lograr introducir el código a la frecuencia de 100Mhz se recurrió al Datasheet que traía el KIT HFBR-0400 de fibra, el cual incluía el Transmisor óptico HFBR -1402. Dicho transmisor tenía como característica una compuerta anterior con un circuito a la entrada de datos por los pines que debidamente seleccionados permitirían la transmisión de la señal óptica.
El circuito propuesto por el Datasheet es el siguiente:
Teniendo en cuenta que no se cuenta con la compuerta presentada por el fabricante, para la implementación de este circuito se hizo necesario sustituirlo por el siguiente:
Se hizo selección de este circuito pues este cuenta con transistor BC548 que es capaz de trabajar hasta 300 MHz de frecuencia, es decir satisface las condiciones de transmisión que se desean lograr.
Este circuito se comporta como un inversor de fase, donde se le entra al transistor una señal digital, es decir 0 ó 1, como este tiene una configuración de emisor común, cuando entra un 0 por la base, el transistor entra en corte y sale un 1 que da el Vcc conectado al colector. De lo contrario cuando entra un 1 por la base el transistor se satura y sale el Vce de saturación que sería menor o igual que 0.3v por lo tanto en el colector había un 0.
A continuación se muestra en la figura 2.13, el circuito implementado para la sustitución del propuesto por la bibliografía.
Donde el cable rojo pertenece a Vcc, el negro es tierra, y el verde salida de datos.
El soket es donde se conecta el trasmisor óptico HFBR- 1402 perteneciente al KIT de fibra con que se cuenta en la investigación.
En la Figura 2.14 se muestra el trasmisor óptico.
Este cuenta con un diodo led de una longitud de onda de 820nm, que será alimentado con el Vcc. de la tarjeta FPGA que es de 3.3V, el cual se encarga de convertir la señal eléctrica en luz, para que pueda ser transmitida por la fibra.
2.4. Circuito Receptor.
En el extremo opuesto de la fibra se tiene un receptor modelo HFBR-2402, que cuenta con un fotodiodo que invierte el proceso realizado en el transmisor, para el cual si se pudo seguir las instrucciones reseñadas por el fabricante, lográndose implementar el circuito de salida de datos, el cual se muestra a continuación en la figura 2.15.
A este circuito no se le hace ninguna modificación pues es fácil de implementar a continuación se presentara después de hecho en la figura 2.16:
La distribución de cables es casi la misma, rojo Vcc, negro tierra y verde salida de datos, el soket es donde se inserta el receptor óptico.
Es bueno aclarar que para este caso, como no hay casi atenuación ni pérdida en transmisión debido a que la señal nunca sale de la fibra el circuito receptor es optimo para la conversión de la señal óptica que le llega pero que para aplicaciones como la que se pretende desarrollar una vez construido el transmisor óptico de alta frecuencia
Receptor óptico perteneciente al kid de fibra, figura 2.17:
Cada componente tanto el receptor como el trasmisor tiene la siguiente distribución de pines:
En el caso del Tx el pin 2,6, y 7 van conectados todos al colector del transistor BC548, el 3 conectado a tierra y los demás quedan inutilizados.
En el caso del receptor los pines 7 y 3 conectados a tierra, el 2 a Vcc y el 6 salida de datos, los demás quedan también inutilizados.
Teniendo los dispositivos necesarios y respetando las configuraciones de Software y Hardware, se inicia la etapa de puesta en práctica y comprobación de los resultados, para verificar el cumplimiento del objetivo trazado al inicio de la investigación. Nótese que los cambios realizados se justifican por la disponibilidad de recursos que se tenían a la hora de realizar la puesta a punto del circuito transmisor. Algunos de los parámetros que intervienen en la comprobación práctica del hardware, fueron alterados para poder visualizar los resultados esperados al inicio del trabajo.

Capítulo III Eficacia del proceso de transmisión

En el capitulo II se justificó la idea de duplicar la frecuencia del reloj de la tarjeta FPGA Spartan 3E utilizando el DCM para obtener 100 MHz de frecuencia, se habla además de la necesidad de crear un código pseudoaleatorio o MLS para introducirlo al KIT de fibra, respetando la distribución de pines y el diseño circuital para el Transmisor y el Receptor. En este capítulo se comprobará la eficacia del proceso de transmisión a través de experimentos que permitirán comprobar el cumplimiento del objetivo trazado en el inicio de esta investigación.
3.1. Comprobación del DCM.
Como se expuso anteriormente, para lograr la frecuencia deseada, y así un transmisor óptico que permita en aplicaciones futuras lograr mediciones con un rango de exactitud extraordinario, fue necesario hacer algunos ajustes en la tarjeta FPGA Spartan 3E. Como se sabe esta tarjeta cuenta con un reloj cuya frecuencia es de 50 MHz, pero nuestro objetivo inicial es lograr 100MHz por lo que se hace el diseño del DCM explicado en el capitulo II con este objetivo y queda generado un código guardado con una extensión .vhdl, el cual se muestra a continuación.
---------------------------------------------------------------------------------
Library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity general is
Port ( CLKIN,RST,CLKFB: in STD_LOGIC;
CLK0,CLK2X,CLK2X180: out STD_LOGIC;
LOCKED:out STD_LOGIC );
end general;
architecture Behavioral of general is
signal CLK180,CLK270,CLK90,CLKDV,CLKFX,CLKFX180:std_logic;
signal PSDONE,PSCLK,PSEN, PSINCDEC:std_logic;
signal STATUS:std_logic_vector(7 downto 0);
begin
46
Capítulo III: Experimentación y discusión de los resultados.
DCM_inst : DCM
generic map (
CLKDV_DIVIDE => 2.0,
CLKFX_DIVIDE => 1,
CLKFX_MULTIPLY => 4,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 20.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
DFS_FREQUENCY_MODE => "LOW",
DLL_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => TRUE,
FACTORY_JF => X"C080",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE
port map (
CLK0 => CLK0,
CLK180 => CLK180,
CLK270 => CLK270,
CLK2X => CLK2X,
CLK2X180 => CLK2X180,
CLK90 => CLK90,
CLKDV => CLKDV,
CLKFX => CLKFX,
CLKFX180 => CLKFX180,
LOCKED => LOCKED,
PSDONE => PSDONE,
STATUS => STATUS,
CLKFB => CLKFB,
CLKIN => CLKIN,
PSCLK => PSCLK,
PSEN => PSEN,
PSINCDEC => PSINCDEC,
RST => RST
);
end Behavioral;
El programa fue comprobado y visualizado por el software Xilinx 8.1, utilizado para simular los procesos que más tarde se podrán implementar de forma práctica sobre el FPGA. En la figura 3.1 se puede observar el diagrama de bloques del DCM y sus respectivos pines de entrada y salida anteriormente explicado el funcionamiento de cada uno de ellos en el capitulo
II.
El diseño fue simulado en el software que se mencionó con anterioridad, arrojando los resultados que se muestran en la figura 3.2.
En una primera instancia se visualiza la entrada del reloj del FPGA en CLKIN a 50 MHz, por su parte CLKO representa una señal de salida sin alterar igual a la de entrada sincronizadas en fase y frecuencia
Por otro lado la salida CLK2X nos muestra una señal con una frecuencia de 100Mhz, siendo esta la que se estaba buscando, y que finalmente es generada gracias a la multiplicación interna del DCM por dos. Esta señal tiene la misma fase que la de entrada, además en la salida representada por CLK2X180 se obtiene una señal con un desfasaje respecto a la original y a la duplicada de 180.
Al lograrse la modificación del reloj de la tarjeta obteniendo una señal a 100MHZ se hace necesario generar un código pseudoaleatorio para introducírselo al circuito a esa frecuencia de trabajo, pues como se puede observar a simple vista la señal obtenida es periódica, por lo tanto no puede ser correlada y la autocorrelación es la herramienta de espectro ensanchado que se pretende utilizar una vez transmitida la señal, que como se había expuesto en el capítulo II no es más que la suma del número de coincidencias menos el número de divergencias, por lo tanto para lograr la medición deseada, el código que se transmite debe ser de máxima longitud o pseudoaleatorio, pues si fuera periódico esta solución no tuviera sentido.
3.2. Creación del Código Pseudoaleatorio.
En el capitulo II también se logró encontrar el polinomio de orden 11 que se utilizará en esta investigación, por lo que ahora se hace necesario la creación de un programa en VHDL, el cual se comporte como un registro de desplazamiento que permita; dado un código de inicio y un reloj de entrada, en cada momento que haya un pulso de reloj, este va desplazándose por el registro y haciendo un XOR en los coeficientes del polinomio, para realimentar la entrada y así a la salida vamos obteniendo un código MLS, pues el código de inicio es un fragmento del código MLS generado en Matlab, para el caso en cuestión es &uml;00000000010".
En la figura 3.3 se muestra el diagrama de bloques del registro de desplazamiento con los pines de entrada y salida, enlazados con el diagrama de bloque del DCM, el RST es el mismo para ambos bloques, se explicó ya en el capítulo II cual es su funcionamiento al igual que el de los demás pines, la salida del DCM es CLK2X que seria el reloj de la tarjeta a 100 MHz y con la misma fase como se muestra en la figura 3.2., y el pin EN es para habilitar o no el registro.
En la figura 3.4 se muestra la simulación del comportamiento de todos los bits del registro de desplazamiento implementado en VHDL.
3.3. Generación del código Pseudoaleatorio a 100 MHz.
Resulta necesario además la creación de un programa que sea capaz de unir el programa que duplica frecuencia del reloj de la tarjeta y el programa que consiste en funcionar como un registro de desplazamiento, De esta manera lograríamos obtener un código pseudoaleatorio a 100 MHz para introducírselo al KIT de fibra por el pin de entrada de datos del circuito trasmisor. A continuación se muestra dicho programa:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity general_completo is
Port ( CLKIN,RST,CLKFB: in STD_LOGIC;
EN: in std_logic;
Og: out std_logic_vector(10 downto 0);
CLK0,CLK2X180,CLK2X_i: out STD_LOGIC;
LOCKED:out STD_LOGIC
);
end general_completo;
architecture Behavioral of general_completo is
component general is
Port ( CLKIN,RST,CLKFB: in STD_LOGIC;
CLK0,CLK2X,CLK2X180: out STD_LOGIC;
LOCKED:out STD_LOGIC
);
end component;
component num_ale_1 is
port (EN: in std_logic;
PCLK: in std_logic;
RSTz: in std_logic;
O: out std_logic_vector (10 downto 0)
);
end component;
signal x:std_logic;
signal y:std_logic_vector(9 downto 0);
begin
g: general
port map(CLKIN=>CLKIN,
RST=>RST,
CLKFB=>CLKFB,
CLK0=>CLK0,
CLK2X=>x,
CLK2X180=>CLK2X180,
LOCKED=>LOCKED
);
n:num_ale_1
port map(EN=>EN,
PCLK=>x,
RSTz=>RST,
O=>Og
);
CLK2X_i<=x;
end Behavioral;
Luego de la implementación de este programa se obtiene una simulación en xilinx donde se muestra el reloj a 100Mhz y el registro de desplazamiento funcionando a una frecuencia de 100Mhz, generando un código pseudoaleatorio, en la figura 3.5 se muestra dicho proceso.
En la figura 3.5 se muestra CLKIN que es el reloj de la tarjeta a 50 MHz, la salida del DCM CLK2X a 100MHz, y el registro de desplazamiento completo, aunque nosotros solo necesitamos el bit 11 de este registro que sería el que nos daría en si el código pseudoaleatorio, en este caso sería (Og(10)).
Antes de Iniciar la prueba con el FPGA del KIT de fibra con el código pseudoaleatorio generado en Xilinx y a una frecuencia de 100Mhz se hace necesario comprobar el funcionamiento de los circuitos diseñados para el transmisor (del cual es bueno recordar que se sustituyo la compuerta propuesta por el fabricante por un transistor bipolar que soporta frecuencias de hasta 300MHZ) y para el receptor donde si fue respetada la propuesta inicial. Para ello se hicieron varias pruebas en el laboratorio:
En una primera instancia se generó un tren de pulsos cuadrados a 1Mhz de frecuencia en un generador el cual se le introdujo al circuito por el pin de entrada de datos y luego se muestreo en el osciloscopio, en la figura 3.6 se muestra dicha prueba.
La mayor dificultad con la que se contó en el transcurso de la investigación radicó en la carencia de un osciloscopio que permitiera visualizar una señal a 100MHz, por lo que aunque las mediciones hechas arrojaron resultados satisfactorios, se realizaron a una frecuencia mucho menor que la deseada.
Teniendo en cuenta entonces que este KIT de fibra esta diseñado para soportar transmisiones de frecuencias por encima de la deseada (100 MHz) y que su atenuación es extremadamente pequeña para distancias tan cortas, podemos concluir que el transmisor óptico de alta frecuencia puede ser utilizado como emisor de fuente de luz en sensores de fibra óptica de alta precisión, según lo muestran los resultados anteriormente obtenidos.

No hay comentarios:

Publicar un comentario